IEEE Circuits and Systems Magazine - Q1 2021 - 38

[27] R. Chassaing, DSP Applications Using C and the TMS320C6x DSK.
Hoboken, NJ: Wiley, 2002.
[28] M. G. Arnold and C. Walter, " Unrestricted faithful rounding is good
enough for some LNS applications, " in Proc. 15th IEEE Symp. Computer
Arithmetic ARITH, 2001, pp. 237-246.
[29] M. Gautschi et al., " Tailoring instruction-set extensions for an ultra-low power tightly-coupled cluster of OpenRISC cores, " in Proc. IFIP/
IEEE Int. Conf. Very Large Scale Integr. (VLSI-SoC), 2015, pp. 25-30.
[30] R. C. Ismail, M. K. Zakaria, and S. A. Z. Murad, " Hybrid logarithmic
number system arithmetic unit: A review, " in Proc. IEEE Int. Conf. Circuits Syst. (ICCAS), Sept. 2013, pp. 55-58. doi: 10.1109/CircuitsAndSystems.2013.6671617.
[31] A. Omondi and B. Premkumar, Residue Number Systems: Theory and
Implementations. Imperial College Press, 2007.
[32] H. L. Garner, " The residue number system, " IEEE Circuits Syst. Mag.,
vol. 8, no. 2, pp. 140-147, 1959. doi: 10.1109/TEC.1959.5219515.
[33] S. Lang, Algebra, 3rd ed., (Graduate Texts in Mathematics Series).
New York: Springer-Verlag, 2002, vol. 211.
[34] A. Molahosseini and L. Sousa, " Introduction to residue number system: Structure and teaching methodology, " in Embedded Systems Design
with Special Arithmetic and Number Systems. New York: Springer-Verlag,
2017, pp. 3-17.
[35] C. Chang, A. Molahosseini, A. Zarandi, and T. Tay, " Residue
number systems: A new paradigm to datapath optimization for lowpower and high-performance digital signal processing applications, "
IEEE Circuits Syst. Mag., vol. 15, no. 4, pp. 26-44, 2015. doi: 10.1109/
MCAS.2015.2484118.
[36] A. Molahosseini, L. Sousa, and C.-H. Chang, Eds., Embedded Systems
Design with Special Arithmetic and Number Systems. New York: SpringerVerlag, 2017.
[37] F. J. Taylor, " Residue arithmetic: A tutorial with examples, " IEEE Trans.
Comput., vol. 17, no. 5, pp. 50-62, 1984. doi: 10.1109/MC.1984.1659138.
[38] P. V. Mohan, Arithmetic Circuits for DSP Applications. Hoboken, NJ:
Wiley, 2017.
[39] A. Hiasat and H. Abdel-Aty-Zohdy, " Design and implementation of
an RNS division algorithm, " in Proc. 13th IEEE Symp. Comput. Arithmetic,
1997, pp. 240-249.
[40] S. Bi and W. Gross, " The mixed-radix Chinese remainder theorem and its applications to residue comparison, " IEEE Trans. Comput.,
vol. 57, no. 12, 2008. doi: 10.1109/TC.2008.126.
[41] L. Sousa, " Efficient method for magnitude comparison in RNS
based on two pairs of conjugate moduli, " in Proc. IEEE Symp. Comput.
Arithmetic, 2007, pp. 240-250.
[42] S. Kumar and C. Chang, " A new fast and area-efficient adder-based
n
n
n
sign detector for RNS 2 - 1, 2 , 2 + 1, " IEEE Trans. Very Large Scale
Integr. (VLSI) Syst., vol. 24, no. 7, pp. 2608-2612, 2016.
n
[43] L. Sousa, " 2 RNS scalers for extended 4-moduli sets, " IEEE Trans.
Comput., vol. 64, no. 12, pp. 3322-3334, 2015.
[44] J. Bajard and L. Imbert, " A full RNS implementation of RSA, " IEEE Trans.
Comput., vol. 53, no. 6, pp. 769-774, June 2004. doi: 10.1109/TC.2004.2.
[45] A. Zarandi, A. Molahosseini, L. Sousa, and M. Hosseinzadeh, " An efficient component for designing signed reverse converters for a class of
k
P
RNS moduli sets of composite form {2 , 2 - 1}, " IEEE Trans. Very Large
Scale Integr. (VLSI) Syst., vol. 25, no. 1, pp. 48-59, 2017.
[46] F. Jafarzadehpour, A. Molahosseini, A. Zarandi, and L. Sousa, " Efficient modular adder designs based on thermometer and one-hot
coding, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 27, no. 9,
pp. 2142-2155, 2019. doi: 10.1109/TVLSI.2019.2919609.
[47] C. H. Vun and B. Premkumar, " Thermometer code based modular
arithmetic, " in Proc. Spring Congr. Eng. Technol., 2012.
[48] C. Vun, A. Premkumar, and W. Zhang, " Sum of products: Computation using modular thermometer codes, " in Proc. Int. Symp. Intell. Signal
Process. Commun. Syst. (ISPACS), 2014, pp. 141-146.
[49] P. Patronik and S. J. Piestrak, " Hardware/software approach to
designing low-power RNS-enhanced arithmetic units, " IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 64, no. 5, pp. 1031-1039, 2017. doi: 10.1109/
TCSI.2017.2669108.
[50] A. Ghosh, S. Singha, and A. Sinha, " Floating point RNS: A new concept for designing the MAC unit of digital signal processor, " SIGARCH
Comput. Archit. News, vol. 40, no. 2, pp. 39-43, May 2012. doi: 10.1145/
2234336.2234343.
[51] R. Dhanabal, V. Barathi, S. K. Sahoo, N. R. Samhitha, N. A. Cherian,
and P. M. Jacob, " Implementation of floating point MAC using resi-

38

IEEE CIRCUITS AND SYSTEMS MAGAZINE

due number system, " in Proc. Int. Conf. Reliab. Optimiz. Inf. Technol.
(ICROIT), 2014, pp. 461-465.
[52] B. Parhami, " RNS representations with redundant residues, " in Proc. Conf.
Rec. 35th Asilomar Conf. Signals, Syst. Comput., vol. 2, pp. 1651-1655, Nov. 2001.
[53] B. Deng, S. Srikanth, E. Hein, T. Conte, E. Debenedictis, J. Cook, and
M. Frank, " Extending Moore's law via computationally error-tolerant
computing, " ACM Trans. Archit. Code Optimiz., vol. 15, no. 1, Mar. 2018.
doi: 10.1145/3177837.
[54] S. Srikanth, P. G. Rabbat, E. Hein, B. Deng, T. Conte, E. DeBenedictis,
J. Cook, and M. Frank, " Memory system design for ultra low power, computationally error resilient processor microarchitectures, " in Proc. IEEE
Int. Symp. High Performance Comput. Archit. (HPCA), 2018, pp. 696-709.
[55] B. R. Gaines, " Stochastic computing, " in Proc. AFIPS Spring Joint
Comput. Conf., vol. 30, 1967, pp. 149-156.
[56] A. Alaghi and J. P. Hayes, " Survey of stochastic computing, " ACM
Trans. Embedded Comput. Syst. (TECS), vol. 12, no. 2s, pp. 1-19, May
2013. doi: 10.1145/2465787.2465794.
[57] W. Gross and V. Gaudet, Eds., Stochastic Computing: Techniques and
Applications. New York: Springer-Verlag, 2019.
[58] R. Duarte, M. Vestias, and H. Neto, " Enhancing stochastic computations via process variation, " in Proc. 25th Int. Conf. Field Programmable
Logic Appl. (FPL), 2015.
[59] T. Chen and J. P. Hayes, " Analyzing and controlling accuracy in stochastic circuits, " in Proc. IEEE 32nd Int. Conf. Comput. Design (ICCD),
2014, pp. 367-373.
[60] W. Qian and M. D. Riedel, " The synthesis of robust polynomial
arithmetic with stochastic logic, " in Proc. 45th ACM/IEEE Design Autom.
Conf., 2008, pp. 648-653. doi: 10.1145/1391469.1391636.
[61] M. Lunglmayr, D. Wiesinger, and W. Haselmayr, " Design and analysis of efficient maximum/minimum circuits for stochastic computing, "
IEEE Trans. Comput., vol. 69, no. 3, pp. 402-409, 2020. doi: 10.1109/TC
.2019.2949779.
[62] B. Brown and H. Card, " Stochastic neural computation. I. computational elements, " IEEE Trans. Comput., vol. 50, no. 9, pp. 891-905, 2001.
doi: 10.1109/12.954505.
[63] P. Li, D. J. Lilja, W. Qian, M. D. Riedel, and K. Bazargan, " Logical computation on stochastic bit streams with linear finite-state machines, " IEEE
Trans. Comput., vol. 63, no. 6, pp. 1474-1486, 2014. doi: 10.1109/TC.2012.231.
[64] V. T. Lee, A. Alaghi, R. Pamula, V. S. Sathe, L. Ceze, and M. Oskin,
" Architecture considerations for stochastic computing accelerators, "
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 37, no. 11,
pp. 2277-2289, Nov. 2018. doi: 10.1109/TCAD.2018.2858338.
[65] W. Qian, X. Li, M. D. Riedel, K. Bazargan, and D. J. Lilja, " An architecture for fault-tolerant computation with stochastic logic, " IEEE Trans.
Comput., vol. 60, no. 1, pp. 93-105, 2011. doi: 10.1109/TC.2010.202.
[66] S. Gupta and K. Gopalakrishnan, " Position paper, revisiting stochastic computation: Approximate estimation of machine learning
kernels, " in Proc. Position Paper, Workshop Approximate Comput. Across
Syst. Stack (WACAS), 2014.
[67] A. Alaghi, W. Qian, and J. P. Hayes, " The promise and challenge of
stochastic computing, " IEEE Trans. Comput.-Aided Design Integr. Circuits
Syst., vol. 37, no. 8, pp. 1515-1531, 2018. doi: 10.1109/TCAD.2017.2778107.
[68] V. K. Chippa, S. Venkataramani, K. Roy, and A. Raghunathan,
" StoRM: A stochastic recognition and mining processor, " in Proc. IEEE/
ACM Int. Symp. Low Power Electron. Design (ISLPED), 2014, pp. 39-44.
[69] " The human memory: Brain neurons & synapses, " The Human
Memory, 2020. [Online]. Available: https://human-memory.net/brainneurons-synapses/
[70] P. Kanerva, " Hyperdimensional computing: An introduction to computing in distributed representation with high-dimensional random vectors, "
Cognit. Comput., vol. 1, pp. 139-159, 2009. doi: 10.1007/s12559-009-9009-8.
[71] O. J. Rasanen and J. P. Saarinen, " Sequence prediction with sparse
distributed hyperdimensional coding applied to the analysis of mobile
phone use patterns, " IEEE Trans. Neural Netw. Learn. Syst., vol. 27, no. 9,
pp. 1878-1889, Sept. 2016. doi: 10.1109/TNNLS.2015.2462721.
[72] A. Rahimi, P. Kanerva, L. Benini, and J. M. Rabaey, " Efficient biosignal processing using hyperdimensional computing: Network templates
for combined learning and classification of ExG signals, " Proc. IEEE,
vol. 107, no. 1, pp. 123-143, 2019. doi: 10.1109/JPROC.2018.2871163.
[73] S. Datta, R. A. Antonio, A. R. Ison, and J. M. Rabaey, " A programmable hyper-dimensional processor architecture for human-centric
IoT, " IEEE J. Emerg. Sel. Topics Circuits Syst., vol. 9, no. 3, pp. 439-452,
Sept. 2019. doi: 10.1109/JETCAS.2019.2935464.
FIRST QUARTER 2021


https://human-memory.net/brain-neurons-synapses/ https://human-memory.net/brain-neurons-synapses/

IEEE Circuits and Systems Magazine - Q1 2021

Table of Contents for the Digital Edition of IEEE Circuits and Systems Magazine - Q1 2021

Contents
IEEE Circuits and Systems Magazine - Q1 2021 - Cover1
IEEE Circuits and Systems Magazine - Q1 2021 - Cover2
IEEE Circuits and Systems Magazine - Q1 2021 - Contents
IEEE Circuits and Systems Magazine - Q1 2021 - 2
IEEE Circuits and Systems Magazine - Q1 2021 - 3
IEEE Circuits and Systems Magazine - Q1 2021 - 4
IEEE Circuits and Systems Magazine - Q1 2021 - 5
IEEE Circuits and Systems Magazine - Q1 2021 - 6
IEEE Circuits and Systems Magazine - Q1 2021 - 7
IEEE Circuits and Systems Magazine - Q1 2021 - 8
IEEE Circuits and Systems Magazine - Q1 2021 - 9
IEEE Circuits and Systems Magazine - Q1 2021 - 10
IEEE Circuits and Systems Magazine - Q1 2021 - 11
IEEE Circuits and Systems Magazine - Q1 2021 - 12
IEEE Circuits and Systems Magazine - Q1 2021 - 13
IEEE Circuits and Systems Magazine - Q1 2021 - 14
IEEE Circuits and Systems Magazine - Q1 2021 - 15
IEEE Circuits and Systems Magazine - Q1 2021 - 16
IEEE Circuits and Systems Magazine - Q1 2021 - 17
IEEE Circuits and Systems Magazine - Q1 2021 - 18
IEEE Circuits and Systems Magazine - Q1 2021 - 19
IEEE Circuits and Systems Magazine - Q1 2021 - 20
IEEE Circuits and Systems Magazine - Q1 2021 - 21
IEEE Circuits and Systems Magazine - Q1 2021 - 22
IEEE Circuits and Systems Magazine - Q1 2021 - 23
IEEE Circuits and Systems Magazine - Q1 2021 - 24
IEEE Circuits and Systems Magazine - Q1 2021 - 25
IEEE Circuits and Systems Magazine - Q1 2021 - 26
IEEE Circuits and Systems Magazine - Q1 2021 - 27
IEEE Circuits and Systems Magazine - Q1 2021 - 28
IEEE Circuits and Systems Magazine - Q1 2021 - 29
IEEE Circuits and Systems Magazine - Q1 2021 - 30
IEEE Circuits and Systems Magazine - Q1 2021 - 31
IEEE Circuits and Systems Magazine - Q1 2021 - 32
IEEE Circuits and Systems Magazine - Q1 2021 - 33
IEEE Circuits and Systems Magazine - Q1 2021 - 34
IEEE Circuits and Systems Magazine - Q1 2021 - 35
IEEE Circuits and Systems Magazine - Q1 2021 - 36
IEEE Circuits and Systems Magazine - Q1 2021 - 37
IEEE Circuits and Systems Magazine - Q1 2021 - 38
IEEE Circuits and Systems Magazine - Q1 2021 - 39
IEEE Circuits and Systems Magazine - Q1 2021 - 40
IEEE Circuits and Systems Magazine - Q1 2021 - 41
IEEE Circuits and Systems Magazine - Q1 2021 - 42
IEEE Circuits and Systems Magazine - Q1 2021 - 43
IEEE Circuits and Systems Magazine - Q1 2021 - 44
IEEE Circuits and Systems Magazine - Q1 2021 - 45
IEEE Circuits and Systems Magazine - Q1 2021 - 46
IEEE Circuits and Systems Magazine - Q1 2021 - 47
IEEE Circuits and Systems Magazine - Q1 2021 - 48
IEEE Circuits and Systems Magazine - Q1 2021 - 49
IEEE Circuits and Systems Magazine - Q1 2021 - 50
IEEE Circuits and Systems Magazine - Q1 2021 - 51
IEEE Circuits and Systems Magazine - Q1 2021 - 52
IEEE Circuits and Systems Magazine - Q1 2021 - 53
IEEE Circuits and Systems Magazine - Q1 2021 - 54
IEEE Circuits and Systems Magazine - Q1 2021 - 55
IEEE Circuits and Systems Magazine - Q1 2021 - 56
IEEE Circuits and Systems Magazine - Q1 2021 - 57
IEEE Circuits and Systems Magazine - Q1 2021 - 58
IEEE Circuits and Systems Magazine - Q1 2021 - 59
IEEE Circuits and Systems Magazine - Q1 2021 - 60
IEEE Circuits and Systems Magazine - Q1 2021 - 61
IEEE Circuits and Systems Magazine - Q1 2021 - 62
IEEE Circuits and Systems Magazine - Q1 2021 - 63
IEEE Circuits and Systems Magazine - Q1 2021 - 64
IEEE Circuits and Systems Magazine - Q1 2021 - 65
IEEE Circuits and Systems Magazine - Q1 2021 - 66
IEEE Circuits and Systems Magazine - Q1 2021 - 67
IEEE Circuits and Systems Magazine - Q1 2021 - 68
IEEE Circuits and Systems Magazine - Q1 2021 - 69
IEEE Circuits and Systems Magazine - Q1 2021 - 70
IEEE Circuits and Systems Magazine - Q1 2021 - 71
IEEE Circuits and Systems Magazine - Q1 2021 - 72
IEEE Circuits and Systems Magazine - Q1 2021 - 73
IEEE Circuits and Systems Magazine - Q1 2021 - 74
IEEE Circuits and Systems Magazine - Q1 2021 - 75
IEEE Circuits and Systems Magazine - Q1 2021 - 76
IEEE Circuits and Systems Magazine - Q1 2021 - 77
IEEE Circuits and Systems Magazine - Q1 2021 - 78
IEEE Circuits and Systems Magazine - Q1 2021 - 79
IEEE Circuits and Systems Magazine - Q1 2021 - 80
IEEE Circuits and Systems Magazine - Q1 2021 - 81
IEEE Circuits and Systems Magazine - Q1 2021 - 82
IEEE Circuits and Systems Magazine - Q1 2021 - 83
IEEE Circuits and Systems Magazine - Q1 2021 - 84
IEEE Circuits and Systems Magazine - Q1 2021 - 85
IEEE Circuits and Systems Magazine - Q1 2021 - 86
IEEE Circuits and Systems Magazine - Q1 2021 - 87
IEEE Circuits and Systems Magazine - Q1 2021 - 88
IEEE Circuits and Systems Magazine - Q1 2021 - Cover3
IEEE Circuits and Systems Magazine - Q1 2021 - Cover4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021Q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q1
https://www.nxtbookmedia.com