IEEE Circuits and Systems Magazine - Q3 2021 - 34

accumulator placed at edge of the sub-arrays [16]. Hence,
near-memory compute is essentially a purely digital design,
as opposed to the mixed-signal nature of CIM. A
recent benchmark study systematically compared the
three approaches at the same technology node, showing
that CIM with eNVM outperforms digital MAC and nearmemory
compute with SRAM in throughput and energy
efficiency [17]. There are also near-memory compute or
CIM designs that employ standalone memories such as
dynamic random access memory (DRAM) [18], [19] or
3D NAND Flash memory [20], sometimes such approach
is referred to as processing-in-memory (PIM) [21]. Due
to the fabrication incompatibilities with advanced logic
process, these designs could only employ limited resources
of peripheral circuitry on the commodity DRAM
or NAND Flash dies, thus they are best suited as a coprocessor
to compute VMM workloads offloaded from
the host processor. Heterogeneous integration such as
through-silicon-via (TSV) or hybrid bonding between
co-processor and host-processor may be required to
realize the full system functionality. We will not discuss
such PIM designs in this article and our focus is on the
single-die solution where the embedded memories are
integrated with extensive logic processing units on the
same chip for in-memory computing.
1.3. Embedded Memory Technology Choices
To implement CIM, mature SRAM technology (possibly
with modified bit cell) has been leveraged. SRAM is primarily
used as the on-chip cache in microprocessors
and has enjoyed the benefits of scaling together with
logic transistors to today's 5 nm node [22]. Large on-chip
SRAM capacity (i.e., 256 Mb = 32 MB demonstrated occupying
only 5.38 mm2 for memory cells) is demonstrated at
5 nm [22]. Therefore, advantage of using SRAM for CIM is
the commercial foundry availability at the latest technology
node (soon in 3 nm or beyond). Proposals using embedded
DRAM (eDRAM) for near-memory compute have
been made [23]. Unfortunately eDRAM is not widely available
in industry platforms (only offered in Intel's 22 nm
[24] and IBM/Globalfoundries' 14 nm [25]). There are
also custom designs using 4T as eDRAM [26] or 3T-1C as
eDRAM [27] for CIM. However, SRAM/DRAM is inherently
volatile, and consumes significant standby leakage power
or refresh power, especially when the dynamic power
gating is desired in edge devices. In this context, eNVM
technologies may become more competitive on powerconstrained
platforms, as they could be turned on and off
instantly without losing the stored weights. In addition,
an eNVM cell typically has a smaller layout area than an
SRAM cell and possibly offers multi-bit per cell, yielding a
higher integration density at the same technology node.
eNVMs of interests here include resistive random access
34
IEEE CIRCUITS AND SYSTEMS MAGAZINE
THIRD QUARTER 2021
Pool, Activation
INPUT
ProcessElement
(PE)
REG
CTRL
Fort MAC,
Control, etc
SA
PE PE
(a)
Ctrl
Adder + Register
PE
(b)
Figure 2. Schematic of (a) digital MAC accelerator and (b) near-memory compute and CIM accelerators.
PE
Ctrl
Global Buffer
PE PE
W
W
Parallel MAC
IO (ADC)
PE
Row-by-Row Read
Near Memory Computing
Pool, Activation
Local Buffer
PE
Input
CIM
DRAM
WL Driver
DRAM
WL Driver

IEEE Circuits and Systems Magazine - Q3 2021

Table of Contents for the Digital Edition of IEEE Circuits and Systems Magazine - Q3 2021

Contents
IEEE Circuits and Systems Magazine - Q3 2021 - Cover1
IEEE Circuits and Systems Magazine - Q3 2021 - Cover2
IEEE Circuits and Systems Magazine - Q3 2021 - Contents
IEEE Circuits and Systems Magazine - Q3 2021 - 2
IEEE Circuits and Systems Magazine - Q3 2021 - 3
IEEE Circuits and Systems Magazine - Q3 2021 - 4
IEEE Circuits and Systems Magazine - Q3 2021 - 5
IEEE Circuits and Systems Magazine - Q3 2021 - 6
IEEE Circuits and Systems Magazine - Q3 2021 - 7
IEEE Circuits and Systems Magazine - Q3 2021 - 8
IEEE Circuits and Systems Magazine - Q3 2021 - 9
IEEE Circuits and Systems Magazine - Q3 2021 - 10
IEEE Circuits and Systems Magazine - Q3 2021 - 11
IEEE Circuits and Systems Magazine - Q3 2021 - 12
IEEE Circuits and Systems Magazine - Q3 2021 - 13
IEEE Circuits and Systems Magazine - Q3 2021 - 14
IEEE Circuits and Systems Magazine - Q3 2021 - 15
IEEE Circuits and Systems Magazine - Q3 2021 - 16
IEEE Circuits and Systems Magazine - Q3 2021 - 17
IEEE Circuits and Systems Magazine - Q3 2021 - 18
IEEE Circuits and Systems Magazine - Q3 2021 - 19
IEEE Circuits and Systems Magazine - Q3 2021 - 20
IEEE Circuits and Systems Magazine - Q3 2021 - 21
IEEE Circuits and Systems Magazine - Q3 2021 - 22
IEEE Circuits and Systems Magazine - Q3 2021 - 23
IEEE Circuits and Systems Magazine - Q3 2021 - 24
IEEE Circuits and Systems Magazine - Q3 2021 - 25
IEEE Circuits and Systems Magazine - Q3 2021 - 26
IEEE Circuits and Systems Magazine - Q3 2021 - 27
IEEE Circuits and Systems Magazine - Q3 2021 - 28
IEEE Circuits and Systems Magazine - Q3 2021 - 29
IEEE Circuits and Systems Magazine - Q3 2021 - 30
IEEE Circuits and Systems Magazine - Q3 2021 - 31
IEEE Circuits and Systems Magazine - Q3 2021 - 32
IEEE Circuits and Systems Magazine - Q3 2021 - 33
IEEE Circuits and Systems Magazine - Q3 2021 - 34
IEEE Circuits and Systems Magazine - Q3 2021 - 35
IEEE Circuits and Systems Magazine - Q3 2021 - 36
IEEE Circuits and Systems Magazine - Q3 2021 - 37
IEEE Circuits and Systems Magazine - Q3 2021 - 38
IEEE Circuits and Systems Magazine - Q3 2021 - 39
IEEE Circuits and Systems Magazine - Q3 2021 - 40
IEEE Circuits and Systems Magazine - Q3 2021 - 41
IEEE Circuits and Systems Magazine - Q3 2021 - 42
IEEE Circuits and Systems Magazine - Q3 2021 - 43
IEEE Circuits and Systems Magazine - Q3 2021 - 44
IEEE Circuits and Systems Magazine - Q3 2021 - 45
IEEE Circuits and Systems Magazine - Q3 2021 - 46
IEEE Circuits and Systems Magazine - Q3 2021 - 47
IEEE Circuits and Systems Magazine - Q3 2021 - 48
IEEE Circuits and Systems Magazine - Q3 2021 - 49
IEEE Circuits and Systems Magazine - Q3 2021 - 50
IEEE Circuits and Systems Magazine - Q3 2021 - 51
IEEE Circuits and Systems Magazine - Q3 2021 - 52
IEEE Circuits and Systems Magazine - Q3 2021 - 53
IEEE Circuits and Systems Magazine - Q3 2021 - 54
IEEE Circuits and Systems Magazine - Q3 2021 - 55
IEEE Circuits and Systems Magazine - Q3 2021 - 56
IEEE Circuits and Systems Magazine - Q3 2021 - 57
IEEE Circuits and Systems Magazine - Q3 2021 - 58
IEEE Circuits and Systems Magazine - Q3 2021 - 59
IEEE Circuits and Systems Magazine - Q3 2021 - 60
IEEE Circuits and Systems Magazine - Q3 2021 - 61
IEEE Circuits and Systems Magazine - Q3 2021 - 62
IEEE Circuits and Systems Magazine - Q3 2021 - 63
IEEE Circuits and Systems Magazine - Q3 2021 - 64
IEEE Circuits and Systems Magazine - Q3 2021 - 65
IEEE Circuits and Systems Magazine - Q3 2021 - 66
IEEE Circuits and Systems Magazine - Q3 2021 - 67
IEEE Circuits and Systems Magazine - Q3 2021 - 68
IEEE Circuits and Systems Magazine - Q3 2021 - 69
IEEE Circuits and Systems Magazine - Q3 2021 - 70
IEEE Circuits and Systems Magazine - Q3 2021 - 71
IEEE Circuits and Systems Magazine - Q3 2021 - 72
IEEE Circuits and Systems Magazine - Q3 2021 - 73
IEEE Circuits and Systems Magazine - Q3 2021 - 74
IEEE Circuits and Systems Magazine - Q3 2021 - 75
IEEE Circuits and Systems Magazine - Q3 2021 - 76
IEEE Circuits and Systems Magazine - Q3 2021 - 77
IEEE Circuits and Systems Magazine - Q3 2021 - 78
IEEE Circuits and Systems Magazine - Q3 2021 - 79
IEEE Circuits and Systems Magazine - Q3 2021 - 80
IEEE Circuits and Systems Magazine - Q3 2021 - 81
IEEE Circuits and Systems Magazine - Q3 2021 - 82
IEEE Circuits and Systems Magazine - Q3 2021 - 83
IEEE Circuits and Systems Magazine - Q3 2021 - 84
IEEE Circuits and Systems Magazine - Q3 2021 - 85
IEEE Circuits and Systems Magazine - Q3 2021 - 86
IEEE Circuits and Systems Magazine - Q3 2021 - 87
IEEE Circuits and Systems Magazine - Q3 2021 - 88
IEEE Circuits and Systems Magazine - Q3 2021 - 89
IEEE Circuits and Systems Magazine - Q3 2021 - 90
IEEE Circuits and Systems Magazine - Q3 2021 - 91
IEEE Circuits and Systems Magazine - Q3 2021 - 92
IEEE Circuits and Systems Magazine - Q3 2021 - 93
IEEE Circuits and Systems Magazine - Q3 2021 - 94
IEEE Circuits and Systems Magazine - Q3 2021 - 95
IEEE Circuits and Systems Magazine - Q3 2021 - 96
IEEE Circuits and Systems Magazine - Q3 2021 - Cover3
IEEE Circuits and Systems Magazine - Q3 2021 - Cover4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021Q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q1
https://www.nxtbookmedia.com