IEEE Circuits and Systems Magazine - Q3 2021 - 55

[49] X. Sun and S. Yu, " Impact of non-ideal characteristics of resistive
synaptic devices on implementing convolutional neural networks, "
IEEE J. Emerg. Sel. Topics Circuits Syst., vol. 9, no. 3, pp. 570-579, 2019.
doi: 10.1109/JETCAS.2019.2933148.
[50] S. Agarwal et al., " Resistive memory device requirements for a neural
algorithm accelerator, " in Proc. Int. Joint Conf. Neural Netw. (IJCNN), 2016.
[51] J. Woo and S. Yu, " Resistive memory based analog synapse: The
pursuit for linear and symmetric weight update, " IEEE Nanotechnol.
Mag., vol. 12, no. 3, pp. 36-44, 2018. doi: 10.1109/MNANO.2018.2844902.
[52] H. Jiang, X. Peng, S. Huang, and S. Yu, " CIMAT: A compute-in-memory
architecture for on-chip training based on transpose SRAM arrays, "
IEEE Trans. Comput., vol. 69, no. 7, pp. 944-954, 2020.
[53] " NVIDIA Turing GPU Architecture, " 2018.
[Online]. Available:
https://www.nvidia.com/content/dam/en-zz/Solut ions/design
-visualization/technologies/turing-architecture/NVIDIA-Turing
-Architecture-Whitepaper.pdf
[54] " Google's Edge TPU, " 2019. [Online]. Available: https://cloud
.google.com/edge-tpu
[55] " Tearing apart Google's TPU 3.0 AI coprocessor, " [Online]. Available:
https://www.nextplatform.com/2018/05/10/tearing-apart-googles
-tpu-3-0-ai-coprocessor/
[56] T. Song et al., " A 10nm FinFET 128Mb SRAM with assist adjustment
system for power, performance, and area optimization, " in Proc. IEEE
Int. Solid-State Circuits Conf. (ISSCC), 2016.
[57] T. Song et al., " A 7nm FinFET SRAM using EUV lithography with
dual write-driver-assist circuitry for low-voltage applications, " in Proc.
IEEE Int. Solid-State Circuits Conf. (ISSCC), 2018.
[58] W.-S. Khwa et al., " A 65nm 4Kb algorithm-dependent computingin-memory
SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel
product-sum operation for binary DNN edge processors, " in Proc. IEEE
Int. Solid-State Circuits Conf. (ISSCC), 2018.
[59] M. Rastegari, V. Ordonez, J. Redmon, and A. Farhadi, " XNOR-Net:
Imagenet classification using binary convolutional neural networks, " in
Proc. European Conf. Comput. Vision (ECCV), 2016.
[60] J.-W. Su et al., " A 28nm 64Kb inference-training two-way transpose
multibit 6T SRAM compute-in-memory macro for AI edge chips, " in
Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), 2020.
[61] S. K. Gonugondla, M. Kang, and N. Shanbhag, " A 42pJ/decision 3.12
TOPS/W robust in-memory machine learning classifier with on-chip
training, " in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), 2018.
[62] H. Valavi, P. J. Ramadge, E. Nestler, and N. Verma, " A mixed-signal
binarized convolutional-neural-network accelerator integrating dense
weight storage and multiplication for reduced data movement, " in Proc.
IEEE Symp. VLSI Circuits, 2018.
[63] J. Zhang, Z. Wang, and N. Verma, " A machine-learning classifier implemented
in a standard 6T SRAM array, " in Proc. IEEE Symp. VLSI Circuits, 2016.
[64] R. Liu et al., " Parallelizing SRAM arrays with customized bit-cell
for binary neural networks, " in Proc. ACM/IEEE Design Automation Conf.
(DAC), 2018. doi: 10.1145/3195970.3196089.
[65] Z. Jiang, S. Yin, M. Seok, and J.-S. Seo, " XNOR-SRAM: In-memory
computing SRAM macro for binary/ternary deep neural networks, " in
Proc. IEEE Symp. VLSI Circuits, 2018.
[66] A. Biswas and A. P. Chandrakasan, " Conv-RAM: An energy-efficient
SRAM with embedded convolution computation for low-power CNNbased
machine learning applications, " in Proc. IEEE Int. Solid-State Circuits
Conf. (ISSCC), 2018.
[67] X. Si et al., " A twin-8T SRAM computation-in-memory macro for
multiple-bit CNN-based machine learning, " in Proc. IEEE Int. Solid-State
Circuits Conf. (ISSCC), 2019.
[68] Q. Dong et al., " A 351TOPS/W and 372.4GOPS compute-in-memory
SRAM macro in 7nm FinFET CMOS for machine-learning applications, "
in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), 2020.
[69] J. Yue et al., " A 65nm computing-in-memory-based CNN processor
with 2.9-to-35.8TOPS/W system energy efficiency using dynamic-sparsity
performance-scaling architecture and energy-efficient inter/intra-macro
data reuse, " in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), 2020.
[70] H.-S. P. Wong et al., " Metal-oxide RRAM, " Proc. IEEE, vol. 100, no. 6,
p. 1951-1970, 2012. doi: 10.1109/JPROC.2012.2190369.
[71] H. Wu et al., " Resistive random access memory for future information
processing system, " Proc. IEEE, vol. 105, no. 9, pp. 1770-1789, 2017.
doi: 10.1109/JPROC.2017.2684830.
[72] R. Fackenthal et al., " A 16Gb ReRAM with 200MB/s write and 1GB/s
read in 27nm technology, " in Proc. IEEE Int. Solid-State Circuits Conf.
(ISSCC), 2014.
THIRD QUARTER 2021
[73] T.-Y. Liu et al., " A 130.7mm2 2-layer 32Gb ReRAM memory device in
24nm technology, " in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), 2013.
[74] C. Ho et al., " Integrated HfO2-RRAM to achieve highly reliable,
greener, faster, cost-effective, and scaled devices, " in Proc. IEEE Int.
Electron Devices Meeting (IEDM), 2017.
[75] A. Kawahara et al., " An 8Mb multi-layered cross-point ReRAM macro
with 443MB/s write throughput, " IEEE Int. Solid-State Circuits Conf.
(ISSCC), 2012.
[76] S. Yu, P.-Y. Chen, Y. Cao, L. Xia, Y. Wang, and H. Wu, " Scaling-up
resistive synaptic arrays for neuro-inspired architecture: Challenges
and prospect, " in Proc. IEEE Int. Electron Devices Meeting (IEDM),
2015.
[77] X. Sun, S. Yin, X. Peng, R. Liu, J.-S. Seo, and S. Yu, " XNOR-RRAM: A
scalable and parallel resistive synaptic architecture for binary neural
networks, " in Proc. ACM/IEEE Design, Automat. Test Europe (DATE), 2018.
[78] C.-X. Xue et al., " A 1Mb multibit ReRAM computing-in-memory
macro with 14.6ns parallel MAC computing time for CNN-based AI edge
processors, " in Proc. IEEE Int. Solid- State Circuits Conf. (ISSCC), 2019.
[79] W.-H. Chen et al., " A 65nm 1Mb nonvolatile computing-in-memory
ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI
edge processors, " in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), 2018.
[80] R. Mochida et al., " A 4M synapses integrated analog ReRAM based
66.5 TOPS/W neural-network processor with cell current controlled
writing and flexible network architecture, " in Proc. IEEE Symp. VLSI
Technol., 2018.
[81] S. Yin, X. Sun, S. Yu, and J.-S. Seo, " High-throughput in-memory
computing for binary deep neural networks with monolithically integrated
RRAM and 90nm CMOS, " IEEE Trans. Electron Devices, vol. 67, no.
10, pp. 4185-4192, 2020. doi: 10.1109/TED.2020.3015178.
[82] W. Wan et al., " A voltage-mode sensing scheme with differentialrow
weight mapping for energy-efficient RRAM-based in-memory computing, "
in Proc. IEEE Symp. VLSI Technol., 2020.
[83] W. He et al., " 2-bit-per-cell RRAM based in-memory computing for
area-/energy-efficient deep learning, " IEEE Solid-State Circuits Lett., vol.
3, pp. 194-197, 2020.
[84] Q. Liu et al., " A fully integrated analog ReRAM based 78.4 TOPS/W
compute-in-memory chip with fully parallel MAC computing, " in Proc.
IEEE Int. Solid-State Circuits Conf. (ISSCC), 2020.
[85] C.-X. Xue et al., " A 22nm 2Mb ReRAM compute-in-memory macro
with 121-28TOPS/W for multibit MAC computing for tiny AI edge devices, "
in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), 2020.
[86] W. Wan et al., " A 74 TMACS/W CMOS-RRAM neurosynaptic core
with dynamically reconfigurable dataflow and in-situ transposable
weights for probabilistic graphical models, " in Proc. IEEE Int. Solid-State
Circuits Conf. (ISSCC), 2020.
[87] H. Jiang, S. Huang, X. Peng, and S. Yu, " MINT: Mixed-precision
RRAM-based in-memory training architecture, " in Proc. IEEE Int. Symp.
Circuits Systems (ISCAS), 2020.
[88] M.-F. Chang et al., " Challenges and circuit techniques for energyefficient
on-chip nonvolatile memory using memristive devices, " IEEE
J. Emerg. Sel. Topics. Circuits Syst., vol. 5, no. 2, pp. 183-193, 2015. doi:
10.1109/JETCAS.2015.2426531.
[89] H.-Y. Chang et al., " AI hardware acceleration with analog memory:
Microarchitectures for low energy at high speed, " IBM J. Res. Develop.,
vol. 63, no. 6, pp. 1-8, 2019. doi: 10.1147/JRD.2019.2934050.
[90] Y. Luo, X. Han, Z. Ye, H. Barnaby, J.-S. Seo, and S. Yu, " Array level
programming of 3-bit per cell resistive memory and its application for
deep neural network inference, " IEEE Trans. Electron Devices, vol. 67,
no. 11, pp. 4621-4625, 2020. doi: 10.1109/TED.2020.3015940.
[91] Y. Long, X. She, and S. Mukhopadhyay, " Design of reliable DNN accelerator
with un-reliable ReRAM, " in Proc. ACM/IEEE Des., Automat.
Test Europe Conf. (DATE), 2019.
[92] M.-F. Chang et al., " An offset-tolerant fast-random-read currentsampling-based
sense amplifier
for
small-cell-current nonvolatile
memory, " IEEE J. Solid-State Circuits, vol. 48, no. 3, pp. 864-877, 2013.
doi: 10.1109/JSSC.2012.2235013.
[93] J. Devlin, M.-W. Chang, K. Lee, and K. Toutanova, " Bert: Pre-training
of deep bidirectional transformers for language understanding, "
2018, arXiv:1810.04805.
[94] N. Shazeer et al., " Outrageously large neural networks: The sparsely-gated
mixture-of-experts layer " , 2017, arXiv:1701.06538.
[95] A. Lu, X. Peng, Y. Luo, and S. Yu, " Benchmark of the compute-inmemory
based DNN accelerator with area constraint, " IEEE Trans. VLSI
Syst., vol. 28, no. 9, pp. 1945-1952, 2020. doi: 10.1109/TVLSI.2020.3001526.
IEEE CIRCUITS AND SYSTEMS MAGAZINE
55
https://images.nvidia.com/aem-dam/en-zz/Solutions/design-visualization/technologies/turing-architecture/NVIDIA-Turing-Architecture-Whitepaper.pdf https://images.nvidia.com/aem-dam/en-zz/Solutions/design-visualization/technologies/turing-architecture/NVIDIA-Turing-Architecture-Whitepaper.pdf https://images.nvidia.com/aem-dam/en-zz/Solutions/design-visualization/technologies/turing-architecture/NVIDIA-Turing-Architecture-Whitepaper.pdf https://cloud.google.com/edge-tpu https://cloud.google.com/edge-tpu https://www.nextplatform.com/2018/05/10/tearing-apart-googles-tpu-3-0-ai-coprocessor/ https://www.nextplatform.com/2018/05/10/tearing-apart-googles-tpu-3-0-ai-coprocessor/

IEEE Circuits and Systems Magazine - Q3 2021

Table of Contents for the Digital Edition of IEEE Circuits and Systems Magazine - Q3 2021

Contents
IEEE Circuits and Systems Magazine - Q3 2021 - Cover1
IEEE Circuits and Systems Magazine - Q3 2021 - Cover2
IEEE Circuits and Systems Magazine - Q3 2021 - Contents
IEEE Circuits and Systems Magazine - Q3 2021 - 2
IEEE Circuits and Systems Magazine - Q3 2021 - 3
IEEE Circuits and Systems Magazine - Q3 2021 - 4
IEEE Circuits and Systems Magazine - Q3 2021 - 5
IEEE Circuits and Systems Magazine - Q3 2021 - 6
IEEE Circuits and Systems Magazine - Q3 2021 - 7
IEEE Circuits and Systems Magazine - Q3 2021 - 8
IEEE Circuits and Systems Magazine - Q3 2021 - 9
IEEE Circuits and Systems Magazine - Q3 2021 - 10
IEEE Circuits and Systems Magazine - Q3 2021 - 11
IEEE Circuits and Systems Magazine - Q3 2021 - 12
IEEE Circuits and Systems Magazine - Q3 2021 - 13
IEEE Circuits and Systems Magazine - Q3 2021 - 14
IEEE Circuits and Systems Magazine - Q3 2021 - 15
IEEE Circuits and Systems Magazine - Q3 2021 - 16
IEEE Circuits and Systems Magazine - Q3 2021 - 17
IEEE Circuits and Systems Magazine - Q3 2021 - 18
IEEE Circuits and Systems Magazine - Q3 2021 - 19
IEEE Circuits and Systems Magazine - Q3 2021 - 20
IEEE Circuits and Systems Magazine - Q3 2021 - 21
IEEE Circuits and Systems Magazine - Q3 2021 - 22
IEEE Circuits and Systems Magazine - Q3 2021 - 23
IEEE Circuits and Systems Magazine - Q3 2021 - 24
IEEE Circuits and Systems Magazine - Q3 2021 - 25
IEEE Circuits and Systems Magazine - Q3 2021 - 26
IEEE Circuits and Systems Magazine - Q3 2021 - 27
IEEE Circuits and Systems Magazine - Q3 2021 - 28
IEEE Circuits and Systems Magazine - Q3 2021 - 29
IEEE Circuits and Systems Magazine - Q3 2021 - 30
IEEE Circuits and Systems Magazine - Q3 2021 - 31
IEEE Circuits and Systems Magazine - Q3 2021 - 32
IEEE Circuits and Systems Magazine - Q3 2021 - 33
IEEE Circuits and Systems Magazine - Q3 2021 - 34
IEEE Circuits and Systems Magazine - Q3 2021 - 35
IEEE Circuits and Systems Magazine - Q3 2021 - 36
IEEE Circuits and Systems Magazine - Q3 2021 - 37
IEEE Circuits and Systems Magazine - Q3 2021 - 38
IEEE Circuits and Systems Magazine - Q3 2021 - 39
IEEE Circuits and Systems Magazine - Q3 2021 - 40
IEEE Circuits and Systems Magazine - Q3 2021 - 41
IEEE Circuits and Systems Magazine - Q3 2021 - 42
IEEE Circuits and Systems Magazine - Q3 2021 - 43
IEEE Circuits and Systems Magazine - Q3 2021 - 44
IEEE Circuits and Systems Magazine - Q3 2021 - 45
IEEE Circuits and Systems Magazine - Q3 2021 - 46
IEEE Circuits and Systems Magazine - Q3 2021 - 47
IEEE Circuits and Systems Magazine - Q3 2021 - 48
IEEE Circuits and Systems Magazine - Q3 2021 - 49
IEEE Circuits and Systems Magazine - Q3 2021 - 50
IEEE Circuits and Systems Magazine - Q3 2021 - 51
IEEE Circuits and Systems Magazine - Q3 2021 - 52
IEEE Circuits and Systems Magazine - Q3 2021 - 53
IEEE Circuits and Systems Magazine - Q3 2021 - 54
IEEE Circuits and Systems Magazine - Q3 2021 - 55
IEEE Circuits and Systems Magazine - Q3 2021 - 56
IEEE Circuits and Systems Magazine - Q3 2021 - 57
IEEE Circuits and Systems Magazine - Q3 2021 - 58
IEEE Circuits and Systems Magazine - Q3 2021 - 59
IEEE Circuits and Systems Magazine - Q3 2021 - 60
IEEE Circuits and Systems Magazine - Q3 2021 - 61
IEEE Circuits and Systems Magazine - Q3 2021 - 62
IEEE Circuits and Systems Magazine - Q3 2021 - 63
IEEE Circuits and Systems Magazine - Q3 2021 - 64
IEEE Circuits and Systems Magazine - Q3 2021 - 65
IEEE Circuits and Systems Magazine - Q3 2021 - 66
IEEE Circuits and Systems Magazine - Q3 2021 - 67
IEEE Circuits and Systems Magazine - Q3 2021 - 68
IEEE Circuits and Systems Magazine - Q3 2021 - 69
IEEE Circuits and Systems Magazine - Q3 2021 - 70
IEEE Circuits and Systems Magazine - Q3 2021 - 71
IEEE Circuits and Systems Magazine - Q3 2021 - 72
IEEE Circuits and Systems Magazine - Q3 2021 - 73
IEEE Circuits and Systems Magazine - Q3 2021 - 74
IEEE Circuits and Systems Magazine - Q3 2021 - 75
IEEE Circuits and Systems Magazine - Q3 2021 - 76
IEEE Circuits and Systems Magazine - Q3 2021 - 77
IEEE Circuits and Systems Magazine - Q3 2021 - 78
IEEE Circuits and Systems Magazine - Q3 2021 - 79
IEEE Circuits and Systems Magazine - Q3 2021 - 80
IEEE Circuits and Systems Magazine - Q3 2021 - 81
IEEE Circuits and Systems Magazine - Q3 2021 - 82
IEEE Circuits and Systems Magazine - Q3 2021 - 83
IEEE Circuits and Systems Magazine - Q3 2021 - 84
IEEE Circuits and Systems Magazine - Q3 2021 - 85
IEEE Circuits and Systems Magazine - Q3 2021 - 86
IEEE Circuits and Systems Magazine - Q3 2021 - 87
IEEE Circuits and Systems Magazine - Q3 2021 - 88
IEEE Circuits and Systems Magazine - Q3 2021 - 89
IEEE Circuits and Systems Magazine - Q3 2021 - 90
IEEE Circuits and Systems Magazine - Q3 2021 - 91
IEEE Circuits and Systems Magazine - Q3 2021 - 92
IEEE Circuits and Systems Magazine - Q3 2021 - 93
IEEE Circuits and Systems Magazine - Q3 2021 - 94
IEEE Circuits and Systems Magazine - Q3 2021 - 95
IEEE Circuits and Systems Magazine - Q3 2021 - 96
IEEE Circuits and Systems Magazine - Q3 2021 - Cover3
IEEE Circuits and Systems Magazine - Q3 2021 - Cover4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021Q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q1
https://www.nxtbookmedia.com