IEEE Circuits and Systems Magazine - Q3 2023 - 1

Circuits
and Systems
IEEE
MAGAZINE
Volume 23, Number 3
Third Quarter 2023
Features
8 Tiny Machine Learning: Progress and Futures
Ji Lin, Ligeng Zhu, Wei-Ming Chen, Wei-Chen Wang, and Song Han
Tiny machine learning (TinyML) is a new frontier of machine learning. By squeezing deep learning
models into billions of IoT devices and microcontrollers (MCUs), we expand the scope of AI
applications and enable ubiquitous intelligence. However, TinyML is challenging due to the hardware
constraints: the tiny memory resource is difficult hold deep learning models designed for cloud and
mobile platforms. There is also limited compiler and inference engine support for bare-metal devices.
Therefore, we need to co-design the algorithm and system stack to enable TinyML. In this review,
we will first discuss the definition, challenges, and applications of TinyML. We then survey the recent
progress in TinyML and deep learning on MCUs. Next, we will introduce MCUNet, showing how we
can achieve ImageNet-scale AI applications on IoT devices with system-algorithm co-design. We will
further extend the solution from inference to training and introduce tiny on-device training techniques.
Finally, we present future directions in this area. Today's " large " model might be tomorrow's " tiny " model.
The scope of TinyML should evolve and adapt over time.
35 Machine Learning Hardware Design for Efficiency,
Flexibility, and Scalability
IEEE Circuits and Systems Magazine (ISSN 1531-636X)
(ICDMEN) is published quarterly by the Institute of Electrical
and Electronics Engineers, Inc. Headquarters: 3
Park Avenue, 17th Floor, New York, NY, 10016-5997 USA.
Responsibility for the contents rests upon the authors and
not upon the IEEE, the Society, or its members. IEEE Service
Center (for orders, subscriptions, address changes): 445
Hoes Lane, Piscataway, NJ 08854 USA. Telephone: +1 732
981 0060, +1 800 678 4333. Individual copies: IEEE members
US$20.00 (first copy only), nonmembers US$159.00 per copy;
US$7.00 per member per year (included in Society fee)
for each member of the IEEE Circuits and Systems Society.
Subscription rates available upon request. Copyright
and Reprint Permission: Abstracting is permitted with
credit to the source. Libraries are permitted to photocopy
beyond the limits of the U.S. Copyright law for private use
of patrons: 1) those post-1977 articles that carry a code
at the bottom of the first page, provided the per-copy fee
indicated in the code is paid through the Copyright Clearance
Center, 222 Rosewood Drive, Danvers, MA 01923; and
2) pre-1978 articles without fee. For other copying, reprint,
or republication permission, write to: Copyrights and
Permissions Department, IEEE Service Center, 445 Hoes
Lane, Piscataway, NJ 08854 USA. Copyright © 2023 by the
Institute of Electrical and Electronics Engineers, Inc. All
rights reserved. Periodicals postage paid at New York, NY,
and at additional mailing offices. Postmaster: Send address
changes to IEEE Circuits and Systems Magazine, IEEE Operations
Center, 445 Hoes Lane, Piscataway, NJ, 08854 USA.
Printed in U.S.A.
Jie-Fang Zhang and Zhengya Zhang
The widespread use of deep neural networks (DNNs) and DNN-based machine learning (ML)
methods justifies DNN computation as a workload class itself. Beginning with a brief review of DNN
workloads and computation, we provide an overview of single instruction multiple data (SIMD) and
systolic array architectures. These two basic architectures support the kernel operations for DNN computation,
and they form the core of many flexible DNN accelerators. To enable a higher performance
and efficiency, sparse DNN hardware can be designed to gain from data sparsity. We present common
approaches from compressed storage to processing sparse data to reduce memory and bandwidth
usage and improve energy efficiency and performance. To accommodate the fast evolution of new
models of larger size and higher complexity, modular chiplet integration can be a promising path to
meet the growing needs. We show recent work on homogeneous tiling and heterogeneous integration
to scale up and scale out hardware to support larger models of more complex functions.
54 Challenges in Precision Continuous-Time
Delta-Sigma Data Converter Design
Raviteja Theertham and Shanthi Pavan
We describe challenges encountered in the design of continuous-time delta-sigma modulators that
target high resolution (>16 bits) over wide bandwidths (several hundreds of kHz). The linearity of the
feedback DAC and flicker noise introduced by the loop filter are primary problems that need to be
addressed. We describe two techniques that are inherently more linear than prior-art DACs, namely
the virtual-ground-switched resistor DAC and the zapped virtual-ground-switched dual return-to-open
DAC. Flicker noise can be eliminated by chopping, but one needs to pay careful attention to minimize
chopping artifacts. Example multi-bit and single-bit designs achieving in excess of 100 dB SNDR over
a 250 kHz bandwidth, designed in a 180 nm CMOS technology, are used to illustrate the efficacy of
the techniques described in this article.
Digital Object Identifier 10.1109/MCAS.2023.3306551
THIRD QUARTER 2023
IEEE CIRCUITS AND SYSTEMS MAGAZINE
1

IEEE Circuits and Systems Magazine - Q3 2023

Table of Contents for the Digital Edition of IEEE Circuits and Systems Magazine - Q3 2023

Contents
IEEE Circuits and Systems Magazine - Q3 2023 - Cover1
IEEE Circuits and Systems Magazine - Q3 2023 - Cover2
IEEE Circuits and Systems Magazine - Q3 2023 - Contents
IEEE Circuits and Systems Magazine - Q3 2023 - 2
IEEE Circuits and Systems Magazine - Q3 2023 - 3
IEEE Circuits and Systems Magazine - Q3 2023 - 4
IEEE Circuits and Systems Magazine - Q3 2023 - 5
IEEE Circuits and Systems Magazine - Q3 2023 - 6
IEEE Circuits and Systems Magazine - Q3 2023 - 7
IEEE Circuits and Systems Magazine - Q3 2023 - 8
IEEE Circuits and Systems Magazine - Q3 2023 - 9
IEEE Circuits and Systems Magazine - Q3 2023 - 10
IEEE Circuits and Systems Magazine - Q3 2023 - 11
IEEE Circuits and Systems Magazine - Q3 2023 - 12
IEEE Circuits and Systems Magazine - Q3 2023 - 13
IEEE Circuits and Systems Magazine - Q3 2023 - 14
IEEE Circuits and Systems Magazine - Q3 2023 - 15
IEEE Circuits and Systems Magazine - Q3 2023 - 16
IEEE Circuits and Systems Magazine - Q3 2023 - 17
IEEE Circuits and Systems Magazine - Q3 2023 - 18
IEEE Circuits and Systems Magazine - Q3 2023 - 19
IEEE Circuits and Systems Magazine - Q3 2023 - 20
IEEE Circuits and Systems Magazine - Q3 2023 - 21
IEEE Circuits and Systems Magazine - Q3 2023 - 22
IEEE Circuits and Systems Magazine - Q3 2023 - 23
IEEE Circuits and Systems Magazine - Q3 2023 - 24
IEEE Circuits and Systems Magazine - Q3 2023 - 25
IEEE Circuits and Systems Magazine - Q3 2023 - 26
IEEE Circuits and Systems Magazine - Q3 2023 - 27
IEEE Circuits and Systems Magazine - Q3 2023 - 28
IEEE Circuits and Systems Magazine - Q3 2023 - 29
IEEE Circuits and Systems Magazine - Q3 2023 - 30
IEEE Circuits and Systems Magazine - Q3 2023 - 31
IEEE Circuits and Systems Magazine - Q3 2023 - 32
IEEE Circuits and Systems Magazine - Q3 2023 - 33
IEEE Circuits and Systems Magazine - Q3 2023 - 34
IEEE Circuits and Systems Magazine - Q3 2023 - 35
IEEE Circuits and Systems Magazine - Q3 2023 - 36
IEEE Circuits and Systems Magazine - Q3 2023 - 37
IEEE Circuits and Systems Magazine - Q3 2023 - 38
IEEE Circuits and Systems Magazine - Q3 2023 - 39
IEEE Circuits and Systems Magazine - Q3 2023 - 40
IEEE Circuits and Systems Magazine - Q3 2023 - 41
IEEE Circuits and Systems Magazine - Q3 2023 - 42
IEEE Circuits and Systems Magazine - Q3 2023 - 43
IEEE Circuits and Systems Magazine - Q3 2023 - 44
IEEE Circuits and Systems Magazine - Q3 2023 - 45
IEEE Circuits and Systems Magazine - Q3 2023 - 46
IEEE Circuits and Systems Magazine - Q3 2023 - 47
IEEE Circuits and Systems Magazine - Q3 2023 - 48
IEEE Circuits and Systems Magazine - Q3 2023 - 49
IEEE Circuits and Systems Magazine - Q3 2023 - 50
IEEE Circuits and Systems Magazine - Q3 2023 - 51
IEEE Circuits and Systems Magazine - Q3 2023 - 52
IEEE Circuits and Systems Magazine - Q3 2023 - 53
IEEE Circuits and Systems Magazine - Q3 2023 - 54
IEEE Circuits and Systems Magazine - Q3 2023 - 55
IEEE Circuits and Systems Magazine - Q3 2023 - 56
IEEE Circuits and Systems Magazine - Q3 2023 - 57
IEEE Circuits and Systems Magazine - Q3 2023 - 58
IEEE Circuits and Systems Magazine - Q3 2023 - 59
IEEE Circuits and Systems Magazine - Q3 2023 - 60
IEEE Circuits and Systems Magazine - Q3 2023 - 61
IEEE Circuits and Systems Magazine - Q3 2023 - 62
IEEE Circuits and Systems Magazine - Q3 2023 - 63
IEEE Circuits and Systems Magazine - Q3 2023 - 64
IEEE Circuits and Systems Magazine - Q3 2023 - 65
IEEE Circuits and Systems Magazine - Q3 2023 - 66
IEEE Circuits and Systems Magazine - Q3 2023 - 67
IEEE Circuits and Systems Magazine - Q3 2023 - 68
IEEE Circuits and Systems Magazine - Q3 2023 - 69
IEEE Circuits and Systems Magazine - Q3 2023 - 70
IEEE Circuits and Systems Magazine - Q3 2023 - 71
IEEE Circuits and Systems Magazine - Q3 2023 - 72
IEEE Circuits and Systems Magazine - Q3 2023 - 73
IEEE Circuits and Systems Magazine - Q3 2023 - 74
IEEE Circuits and Systems Magazine - Q3 2023 - 75
IEEE Circuits and Systems Magazine - Q3 2023 - 76
IEEE Circuits and Systems Magazine - Q3 2023 - 77
IEEE Circuits and Systems Magazine - Q3 2023 - 78
IEEE Circuits and Systems Magazine - Q3 2023 - 79
IEEE Circuits and Systems Magazine - Q3 2023 - 80
IEEE Circuits and Systems Magazine - Q3 2023 - 81
IEEE Circuits and Systems Magazine - Q3 2023 - 82
IEEE Circuits and Systems Magazine - Q3 2023 - 83
IEEE Circuits and Systems Magazine - Q3 2023 - 84
IEEE Circuits and Systems Magazine - Q3 2023 - 85
IEEE Circuits and Systems Magazine - Q3 2023 - 86
IEEE Circuits and Systems Magazine - Q3 2023 - 87
IEEE Circuits and Systems Magazine - Q3 2023 - 88
IEEE Circuits and Systems Magazine - Q3 2023 - Cover3
IEEE Circuits and Systems Magazine - Q3 2023 - Cover4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2023Q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2022Q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021Q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2021q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2020q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2019q1
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q4
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q3
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q2
https://www.nxtbook.com/nxtbooks/ieee/circuitsandsystems_2018q1
https://www.nxtbookmedia.com