IEEE Solid-States Circuits Magazine - Fall 2020 - 50

Synthesis
(46%)
Perl (77%)

System
Verilog (38%)

Verilog (69%)

A Case Study
Modern SoCs, particularly those
targeting machine learning applica-
tions, incorporate a large and grow-
ing number of specialized hardware
units to efficiently execute specific
tasks. With advances in machine
learning algorithms during the past
decade, compute requirements are
outpacing advances from Moore's law
such that hardware must be flexible
and future-proofed for new applica-
tions. Yet the traditional IC design
cycle can take many months to sev-
eral years from specification to get-
ting the first silicon in the lab for
tests. This is not fast enough to keep
pace with domain-specific algorithm
design, so new methodologies need
to be incorporated for true hardware/
software codesign.
Recently, at NVIDIA, a small research
team designed an 87-million-transistor

Timing
Closure
(38%)
C/C++/
SystemC
(23%)

Python (69%)

Verification
(UVM, SVA)
(54%)

tap into the huge ranks of students
studying those fields. Unique solu-
tions to problems often lie at the inter-
section of disciplines, so should we
move toward an interface with soft-
ware to accelerate innovation?

TCL (38%)

MATLAB
(23%)
Power
Extraction
(23%)

Shell
Scripting
(38%)

Linting
(31%)

HLS (23%)
DRC/LVS
(15%)
VHDL
(15%)
SKILL
(15%)

UPF
(15%)

MBIST/
P&R DFT
(15%) (15%)
Spectre/
HSPICE
(15%)

toward higher levels of abstraction
at top circuits conferences, such as
the International Solid-State Circuits
Conference and the Symposia on
VLSI Technology and Circuits, where
there has been an increase in system
on chip (SoC)-level and domain-spe-
cific processing papers as electronic
design automation (EDA) tools have
become more mature and ubiquitous.
If this trend toward software-based
design continues for years to come,
it has the potential to reduce the size
of the talent pool from which we can
recruit new circuit designers for the
field. Yet the problem is not a zerosum game, as working across the hard-
ware/software interface in computing
is common. If we borrow concepts
from software engineering to improve
circuit design practices and increase
the breadth of the problems solved
within circuits, we can both improve
design productivity and lower the bar-
rier to entry for chip design, making
the field more accessible and improv-
ing the potential for high-value inno-
vation. Additionally, if IC design could
integrate concepts from software devel-
opment, the field could more readily

LEF
(8%)
Virtuoso
(8%)

FIGURE 2: Survey results for the desired skills/tools required for ASIC design positions across
many semiconductor company job posts. Skills traditionally associated with the software
community are in red, while those typically associated with the chip design community are in
blue. SVA: SystemVerilog assertions; TCL: Tool Command Language; HLS: high-level synthesis;
DRC/LVS: design rule check/layout versus schematic; MBIST/DFT: memory built-in self-test/
design for test; VHDL: very high-speed IC hardware description language; UVM: universal
verification methodology; UPF: unified power format; P&R: place and route; LEF: library
exchange format.

50	

FA L L 2 0 2 0	

IEEE SOLID-STATE CIRCUITS MAGAZINE	

inference accelerator chip [4] that
can be tiled on package for scalable
performance in machine learning
applications. Many of the productivity
gains we realized during the design
process were concepts and tech-
niques that originated in the software
community, including using a high--
productivity design methodology, a
high-level language as the hardware
description language (HDL), and a
highly parameterizable open source
design library to improve reuse.
The inference accelerator was de--
signed with a high-productivity VLSI
flow that enabled a 24-h turnaround
from a design change to a tapeout ready
graphic design system (GDS). Most of
the design was described in C++ using
the MatchLib [5] open source library
of common microarchitectural com-
ponents and synthesized into Ver-
ilog through an industry-standard
high-level synthesis (HLS) tool. The
design was intentionally modular-
ized into partitions of roughly 200,000
gates, which avoids tight timing con-
straints among units by using latency--
insensitive (LI) channels.
The main partitions in the design
are the processing element (PE),
global buffer (GB), RISC-V processor,
network-on-package (NoP), and ground
reference signaling (GRS) units, as
annotated in the floorplan in Figure 3.
Each was implemented independently
and in parallel with the others to
improve the design turnaround time.
Partitioning the design into smaller
units raises the number of cross-unit
boundaries, while larger units increase
the place-and-route runtime. An agile
hardware implementation flow using
fully automated synthesis and placeand-route tools provided daily feed-
back about the timing, area, and power
consumption as the design was opti-
mized. The reduced time costs of par-
tition-level respins, due to the limited
partition size, enabled engineering
change orders (ECOs) to be avoided
entirely by making alterations directly
in the source code and reimplement-
ing the full unit.
To further improve design pro-
ductivity and turnaround time, each



IEEE Solid-States Circuits Magazine - Fall 2020

Table of Contents for the Digital Edition of IEEE Solid-States Circuits Magazine - Fall 2020

Contents
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover1
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover2
IEEE Solid-States Circuits Magazine - Fall 2020 - Contents
IEEE Solid-States Circuits Magazine - Fall 2020 - 2
IEEE Solid-States Circuits Magazine - Fall 2020 - 3
IEEE Solid-States Circuits Magazine - Fall 2020 - 4
IEEE Solid-States Circuits Magazine - Fall 2020 - 5
IEEE Solid-States Circuits Magazine - Fall 2020 - 6
IEEE Solid-States Circuits Magazine - Fall 2020 - 7
IEEE Solid-States Circuits Magazine - Fall 2020 - 8
IEEE Solid-States Circuits Magazine - Fall 2020 - 9
IEEE Solid-States Circuits Magazine - Fall 2020 - 10
IEEE Solid-States Circuits Magazine - Fall 2020 - 11
IEEE Solid-States Circuits Magazine - Fall 2020 - 12
IEEE Solid-States Circuits Magazine - Fall 2020 - 13
IEEE Solid-States Circuits Magazine - Fall 2020 - 14
IEEE Solid-States Circuits Magazine - Fall 2020 - 15
IEEE Solid-States Circuits Magazine - Fall 2020 - 16
IEEE Solid-States Circuits Magazine - Fall 2020 - 17
IEEE Solid-States Circuits Magazine - Fall 2020 - 18
IEEE Solid-States Circuits Magazine - Fall 2020 - 19
IEEE Solid-States Circuits Magazine - Fall 2020 - 20
IEEE Solid-States Circuits Magazine - Fall 2020 - 21
IEEE Solid-States Circuits Magazine - Fall 2020 - 22
IEEE Solid-States Circuits Magazine - Fall 2020 - 23
IEEE Solid-States Circuits Magazine - Fall 2020 - 24
IEEE Solid-States Circuits Magazine - Fall 2020 - 25
IEEE Solid-States Circuits Magazine - Fall 2020 - 26
IEEE Solid-States Circuits Magazine - Fall 2020 - 27
IEEE Solid-States Circuits Magazine - Fall 2020 - 28
IEEE Solid-States Circuits Magazine - Fall 2020 - 29
IEEE Solid-States Circuits Magazine - Fall 2020 - 30
IEEE Solid-States Circuits Magazine - Fall 2020 - 31
IEEE Solid-States Circuits Magazine - Fall 2020 - 32
IEEE Solid-States Circuits Magazine - Fall 2020 - 33
IEEE Solid-States Circuits Magazine - Fall 2020 - 34
IEEE Solid-States Circuits Magazine - Fall 2020 - 35
IEEE Solid-States Circuits Magazine - Fall 2020 - 36
IEEE Solid-States Circuits Magazine - Fall 2020 - 37
IEEE Solid-States Circuits Magazine - Fall 2020 - 38
IEEE Solid-States Circuits Magazine - Fall 2020 - 39
IEEE Solid-States Circuits Magazine - Fall 2020 - 40
IEEE Solid-States Circuits Magazine - Fall 2020 - 41
IEEE Solid-States Circuits Magazine - Fall 2020 - 42
IEEE Solid-States Circuits Magazine - Fall 2020 - 43
IEEE Solid-States Circuits Magazine - Fall 2020 - 44
IEEE Solid-States Circuits Magazine - Fall 2020 - 45
IEEE Solid-States Circuits Magazine - Fall 2020 - 46
IEEE Solid-States Circuits Magazine - Fall 2020 - 47
IEEE Solid-States Circuits Magazine - Fall 2020 - 48
IEEE Solid-States Circuits Magazine - Fall 2020 - 49
IEEE Solid-States Circuits Magazine - Fall 2020 - 50
IEEE Solid-States Circuits Magazine - Fall 2020 - 51
IEEE Solid-States Circuits Magazine - Fall 2020 - 52
IEEE Solid-States Circuits Magazine - Fall 2020 - 53
IEEE Solid-States Circuits Magazine - Fall 2020 - 54
IEEE Solid-States Circuits Magazine - Fall 2020 - 55
IEEE Solid-States Circuits Magazine - Fall 2020 - 56
IEEE Solid-States Circuits Magazine - Fall 2020 - 57
IEEE Solid-States Circuits Magazine - Fall 2020 - 58
IEEE Solid-States Circuits Magazine - Fall 2020 - 59
IEEE Solid-States Circuits Magazine - Fall 2020 - 60
IEEE Solid-States Circuits Magazine - Fall 2020 - 61
IEEE Solid-States Circuits Magazine - Fall 2020 - 62
IEEE Solid-States Circuits Magazine - Fall 2020 - 63
IEEE Solid-States Circuits Magazine - Fall 2020 - 64
IEEE Solid-States Circuits Magazine - Fall 2020 - 65
IEEE Solid-States Circuits Magazine - Fall 2020 - 66
IEEE Solid-States Circuits Magazine - Fall 2020 - 67
IEEE Solid-States Circuits Magazine - Fall 2020 - 68
IEEE Solid-States Circuits Magazine - Fall 2020 - 69
IEEE Solid-States Circuits Magazine - Fall 2020 - 70
IEEE Solid-States Circuits Magazine - Fall 2020 - 71
IEEE Solid-States Circuits Magazine - Fall 2020 - 72
IEEE Solid-States Circuits Magazine - Fall 2020 - 73
IEEE Solid-States Circuits Magazine - Fall 2020 - 74
IEEE Solid-States Circuits Magazine - Fall 2020 - 75
IEEE Solid-States Circuits Magazine - Fall 2020 - 76
IEEE Solid-States Circuits Magazine - Fall 2020 - 77
IEEE Solid-States Circuits Magazine - Fall 2020 - 78
IEEE Solid-States Circuits Magazine - Fall 2020 - 79
IEEE Solid-States Circuits Magazine - Fall 2020 - 80
IEEE Solid-States Circuits Magazine - Fall 2020 - 81
IEEE Solid-States Circuits Magazine - Fall 2020 - 82
IEEE Solid-States Circuits Magazine - Fall 2020 - 83
IEEE Solid-States Circuits Magazine - Fall 2020 - 84
IEEE Solid-States Circuits Magazine - Fall 2020 - 85
IEEE Solid-States Circuits Magazine - Fall 2020 - 86
IEEE Solid-States Circuits Magazine - Fall 2020 - 87
IEEE Solid-States Circuits Magazine - Fall 2020 - 88
IEEE Solid-States Circuits Magazine - Fall 2020 - 89
IEEE Solid-States Circuits Magazine - Fall 2020 - 90
IEEE Solid-States Circuits Magazine - Fall 2020 - 91
IEEE Solid-States Circuits Magazine - Fall 2020 - 92
IEEE Solid-States Circuits Magazine - Fall 2020 - 93
IEEE Solid-States Circuits Magazine - Fall 2020 - 94
IEEE Solid-States Circuits Magazine - Fall 2020 - 95
IEEE Solid-States Circuits Magazine - Fall 2020 - 96
IEEE Solid-States Circuits Magazine - Fall 2020 - 97
IEEE Solid-States Circuits Magazine - Fall 2020 - 98
IEEE Solid-States Circuits Magazine - Fall 2020 - 99
IEEE Solid-States Circuits Magazine - Fall 2020 - 100
IEEE Solid-States Circuits Magazine - Fall 2020 - 101
IEEE Solid-States Circuits Magazine - Fall 2020 - 102
IEEE Solid-States Circuits Magazine - Fall 2020 - 103
IEEE Solid-States Circuits Magazine - Fall 2020 - 104
IEEE Solid-States Circuits Magazine - Fall 2020 - 105
IEEE Solid-States Circuits Magazine - Fall 2020 - 106
IEEE Solid-States Circuits Magazine - Fall 2020 - 107
IEEE Solid-States Circuits Magazine - Fall 2020 - 108
IEEE Solid-States Circuits Magazine - Fall 2020 - 109
IEEE Solid-States Circuits Magazine - Fall 2020 - 110
IEEE Solid-States Circuits Magazine - Fall 2020 - 111
IEEE Solid-States Circuits Magazine - Fall 2020 - 112
IEEE Solid-States Circuits Magazine - Fall 2020 - 113
IEEE Solid-States Circuits Magazine - Fall 2020 - 114
IEEE Solid-States Circuits Magazine - Fall 2020 - 115
IEEE Solid-States Circuits Magazine - Fall 2020 - 116
IEEE Solid-States Circuits Magazine - Fall 2020 - 117
IEEE Solid-States Circuits Magazine - Fall 2020 - 118
IEEE Solid-States Circuits Magazine - Fall 2020 - 119
IEEE Solid-States Circuits Magazine - Fall 2020 - 120
IEEE Solid-States Circuits Magazine - Fall 2020 - 121
IEEE Solid-States Circuits Magazine - Fall 2020 - 122
IEEE Solid-States Circuits Magazine - Fall 2020 - 123
IEEE Solid-States Circuits Magazine - Fall 2020 - 124
IEEE Solid-States Circuits Magazine - Fall 2020 - 125
IEEE Solid-States Circuits Magazine - Fall 2020 - 126
IEEE Solid-States Circuits Magazine - Fall 2020 - 127
IEEE Solid-States Circuits Magazine - Fall 2020 - 128
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover3
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover4
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019winter
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018fall
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018spring
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018winter
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2014
https://www.nxtbookmedia.com