IEEE Solid-States Circuits Magazine - Fall 2020 - 51

partition has a separate clock domain,
removing the need for full-chip tim-
ing closure and further saving energy
under varying computational loads.
In this approach, a design is broken
into many small, synchronous clock
domains, each of which operates on
an independently generated clock.
Since each domain is asynchronous
relative to the others, its clock can
be tuned independently. Thus, the
timing margin is reduced, as each
domain operates at a frequency best
suited to local conditions. By restrict-
ing synchronous timing paths to
smaller clock domains, the challenge
of timing the closure at higher lev-
els of the design hierarchy is entirely
eliminated; small changes to a parti-
tion netlist impact only the timing
and layout of that partition and not
the entire system [7]. This partitionlevel clocking modularity is enabled
by LI interfaces at all of the parti-
tion boundaries.

GRS WRX

Agile Development
Tapeouts are known for being stress-
ful, as last-minute and unpredictable
issues always seem arise. It often

GRS NTX

GB

2.5 mm

The growing complexity of chips
designed by small teams, such as this
inference accelerator, includes inno-
vations in architecture, packaging,
and circuits. This has led to a produc-
tivity crisis, stimulating development
of new tools and methodologies to
enable the creation of complex chips
on schedule and within budget. For
our inference accelerator design, over-
lapping architectural exploration/
specification, VLSI implementation,
and software design ensured that
effort was focused on improving the
final quality of the result. The circuitlevel innovations are discussed in [4],
but many of the improvements in the
chip design process are inspired by
software development trends and dis-
cussed in the following sections.

RVP

GPIO

GRS NRX

appears nearly impossible to suffi-
ciently guard-band schedules to leave
time for dealing with these issues
while still making the deadline. Soft-
ware projects typically have the lux-
ury of addressing bugs and adding
features through patches and multi-
ple code rollouts, easing the cost of a
mistake in the code and the pressure
to produce feature-complete designs.
In the best-case scenario for chip
designers, bugs can be fixed with
firmware and software patches, but
correcting flaws more often requires
mask changes and respins of an entire
design, costing both time and signifi-
cant resources. This high(er) cost of
bugs motivates a design philosophy
and set of procedures intended to
minimize the chance of mistakes.
The traditional approach to chip
development is a waterfall design
methodology, as shown in FigureĀ 4. This
technique serializes the steps in the
design process such that a subsequent

GRS ETX

PE

PE

PE

PE

PE

PE

PE

PE

PE

PE

PE

PE

PE

PE

PE

PE

NoP

(b)
GRS WTX

GRS SRX

JTAG

GRS STX

GRS ERX

2.4 mm
(a)
FIGURE 3: (a) The chip micrograph of the inference accelerator chip is highly scalable and (b) assembled as a 36-die system. GPIO:
general-purpose input-output. WRX: west receiver; NTX: north transmitter; ETX: east transmitter; SRX: south receiver; STX: south transmitter;
ERX: east receiver; JTAG: Joint Test Action Group.

	 IEEE SOLID-STATE CIRCUITS MAGAZINE	

FA L L 2 0 2 0	

51



IEEE Solid-States Circuits Magazine - Fall 2020

Table of Contents for the Digital Edition of IEEE Solid-States Circuits Magazine - Fall 2020

Contents
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover1
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover2
IEEE Solid-States Circuits Magazine - Fall 2020 - Contents
IEEE Solid-States Circuits Magazine - Fall 2020 - 2
IEEE Solid-States Circuits Magazine - Fall 2020 - 3
IEEE Solid-States Circuits Magazine - Fall 2020 - 4
IEEE Solid-States Circuits Magazine - Fall 2020 - 5
IEEE Solid-States Circuits Magazine - Fall 2020 - 6
IEEE Solid-States Circuits Magazine - Fall 2020 - 7
IEEE Solid-States Circuits Magazine - Fall 2020 - 8
IEEE Solid-States Circuits Magazine - Fall 2020 - 9
IEEE Solid-States Circuits Magazine - Fall 2020 - 10
IEEE Solid-States Circuits Magazine - Fall 2020 - 11
IEEE Solid-States Circuits Magazine - Fall 2020 - 12
IEEE Solid-States Circuits Magazine - Fall 2020 - 13
IEEE Solid-States Circuits Magazine - Fall 2020 - 14
IEEE Solid-States Circuits Magazine - Fall 2020 - 15
IEEE Solid-States Circuits Magazine - Fall 2020 - 16
IEEE Solid-States Circuits Magazine - Fall 2020 - 17
IEEE Solid-States Circuits Magazine - Fall 2020 - 18
IEEE Solid-States Circuits Magazine - Fall 2020 - 19
IEEE Solid-States Circuits Magazine - Fall 2020 - 20
IEEE Solid-States Circuits Magazine - Fall 2020 - 21
IEEE Solid-States Circuits Magazine - Fall 2020 - 22
IEEE Solid-States Circuits Magazine - Fall 2020 - 23
IEEE Solid-States Circuits Magazine - Fall 2020 - 24
IEEE Solid-States Circuits Magazine - Fall 2020 - 25
IEEE Solid-States Circuits Magazine - Fall 2020 - 26
IEEE Solid-States Circuits Magazine - Fall 2020 - 27
IEEE Solid-States Circuits Magazine - Fall 2020 - 28
IEEE Solid-States Circuits Magazine - Fall 2020 - 29
IEEE Solid-States Circuits Magazine - Fall 2020 - 30
IEEE Solid-States Circuits Magazine - Fall 2020 - 31
IEEE Solid-States Circuits Magazine - Fall 2020 - 32
IEEE Solid-States Circuits Magazine - Fall 2020 - 33
IEEE Solid-States Circuits Magazine - Fall 2020 - 34
IEEE Solid-States Circuits Magazine - Fall 2020 - 35
IEEE Solid-States Circuits Magazine - Fall 2020 - 36
IEEE Solid-States Circuits Magazine - Fall 2020 - 37
IEEE Solid-States Circuits Magazine - Fall 2020 - 38
IEEE Solid-States Circuits Magazine - Fall 2020 - 39
IEEE Solid-States Circuits Magazine - Fall 2020 - 40
IEEE Solid-States Circuits Magazine - Fall 2020 - 41
IEEE Solid-States Circuits Magazine - Fall 2020 - 42
IEEE Solid-States Circuits Magazine - Fall 2020 - 43
IEEE Solid-States Circuits Magazine - Fall 2020 - 44
IEEE Solid-States Circuits Magazine - Fall 2020 - 45
IEEE Solid-States Circuits Magazine - Fall 2020 - 46
IEEE Solid-States Circuits Magazine - Fall 2020 - 47
IEEE Solid-States Circuits Magazine - Fall 2020 - 48
IEEE Solid-States Circuits Magazine - Fall 2020 - 49
IEEE Solid-States Circuits Magazine - Fall 2020 - 50
IEEE Solid-States Circuits Magazine - Fall 2020 - 51
IEEE Solid-States Circuits Magazine - Fall 2020 - 52
IEEE Solid-States Circuits Magazine - Fall 2020 - 53
IEEE Solid-States Circuits Magazine - Fall 2020 - 54
IEEE Solid-States Circuits Magazine - Fall 2020 - 55
IEEE Solid-States Circuits Magazine - Fall 2020 - 56
IEEE Solid-States Circuits Magazine - Fall 2020 - 57
IEEE Solid-States Circuits Magazine - Fall 2020 - 58
IEEE Solid-States Circuits Magazine - Fall 2020 - 59
IEEE Solid-States Circuits Magazine - Fall 2020 - 60
IEEE Solid-States Circuits Magazine - Fall 2020 - 61
IEEE Solid-States Circuits Magazine - Fall 2020 - 62
IEEE Solid-States Circuits Magazine - Fall 2020 - 63
IEEE Solid-States Circuits Magazine - Fall 2020 - 64
IEEE Solid-States Circuits Magazine - Fall 2020 - 65
IEEE Solid-States Circuits Magazine - Fall 2020 - 66
IEEE Solid-States Circuits Magazine - Fall 2020 - 67
IEEE Solid-States Circuits Magazine - Fall 2020 - 68
IEEE Solid-States Circuits Magazine - Fall 2020 - 69
IEEE Solid-States Circuits Magazine - Fall 2020 - 70
IEEE Solid-States Circuits Magazine - Fall 2020 - 71
IEEE Solid-States Circuits Magazine - Fall 2020 - 72
IEEE Solid-States Circuits Magazine - Fall 2020 - 73
IEEE Solid-States Circuits Magazine - Fall 2020 - 74
IEEE Solid-States Circuits Magazine - Fall 2020 - 75
IEEE Solid-States Circuits Magazine - Fall 2020 - 76
IEEE Solid-States Circuits Magazine - Fall 2020 - 77
IEEE Solid-States Circuits Magazine - Fall 2020 - 78
IEEE Solid-States Circuits Magazine - Fall 2020 - 79
IEEE Solid-States Circuits Magazine - Fall 2020 - 80
IEEE Solid-States Circuits Magazine - Fall 2020 - 81
IEEE Solid-States Circuits Magazine - Fall 2020 - 82
IEEE Solid-States Circuits Magazine - Fall 2020 - 83
IEEE Solid-States Circuits Magazine - Fall 2020 - 84
IEEE Solid-States Circuits Magazine - Fall 2020 - 85
IEEE Solid-States Circuits Magazine - Fall 2020 - 86
IEEE Solid-States Circuits Magazine - Fall 2020 - 87
IEEE Solid-States Circuits Magazine - Fall 2020 - 88
IEEE Solid-States Circuits Magazine - Fall 2020 - 89
IEEE Solid-States Circuits Magazine - Fall 2020 - 90
IEEE Solid-States Circuits Magazine - Fall 2020 - 91
IEEE Solid-States Circuits Magazine - Fall 2020 - 92
IEEE Solid-States Circuits Magazine - Fall 2020 - 93
IEEE Solid-States Circuits Magazine - Fall 2020 - 94
IEEE Solid-States Circuits Magazine - Fall 2020 - 95
IEEE Solid-States Circuits Magazine - Fall 2020 - 96
IEEE Solid-States Circuits Magazine - Fall 2020 - 97
IEEE Solid-States Circuits Magazine - Fall 2020 - 98
IEEE Solid-States Circuits Magazine - Fall 2020 - 99
IEEE Solid-States Circuits Magazine - Fall 2020 - 100
IEEE Solid-States Circuits Magazine - Fall 2020 - 101
IEEE Solid-States Circuits Magazine - Fall 2020 - 102
IEEE Solid-States Circuits Magazine - Fall 2020 - 103
IEEE Solid-States Circuits Magazine - Fall 2020 - 104
IEEE Solid-States Circuits Magazine - Fall 2020 - 105
IEEE Solid-States Circuits Magazine - Fall 2020 - 106
IEEE Solid-States Circuits Magazine - Fall 2020 - 107
IEEE Solid-States Circuits Magazine - Fall 2020 - 108
IEEE Solid-States Circuits Magazine - Fall 2020 - 109
IEEE Solid-States Circuits Magazine - Fall 2020 - 110
IEEE Solid-States Circuits Magazine - Fall 2020 - 111
IEEE Solid-States Circuits Magazine - Fall 2020 - 112
IEEE Solid-States Circuits Magazine - Fall 2020 - 113
IEEE Solid-States Circuits Magazine - Fall 2020 - 114
IEEE Solid-States Circuits Magazine - Fall 2020 - 115
IEEE Solid-States Circuits Magazine - Fall 2020 - 116
IEEE Solid-States Circuits Magazine - Fall 2020 - 117
IEEE Solid-States Circuits Magazine - Fall 2020 - 118
IEEE Solid-States Circuits Magazine - Fall 2020 - 119
IEEE Solid-States Circuits Magazine - Fall 2020 - 120
IEEE Solid-States Circuits Magazine - Fall 2020 - 121
IEEE Solid-States Circuits Magazine - Fall 2020 - 122
IEEE Solid-States Circuits Magazine - Fall 2020 - 123
IEEE Solid-States Circuits Magazine - Fall 2020 - 124
IEEE Solid-States Circuits Magazine - Fall 2020 - 125
IEEE Solid-States Circuits Magazine - Fall 2020 - 126
IEEE Solid-States Circuits Magazine - Fall 2020 - 127
IEEE Solid-States Circuits Magazine - Fall 2020 - 128
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover3
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover4
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019winter
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018fall
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018spring
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018winter
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2014
https://www.nxtbookmedia.com