IEEE Solid-States Circuits Magazine - Fall 2020 - 52

step begins only once the previous one
is complete. The benefit of this method
is that all features and specifications
from prior steps are complete and static
between design stages, preventing
last-minute miscommunications and

specification changes. The downside
is that each chip design step can take
months from specification to comple-
tion. These timescales work well for
applications where compute demands
grow at a rate similar to Moore's law,

Design
Specification
Architectural
Modeling

RTL Design

Verification
and Validation

Physical Design

Firmware/Software
Time

FIGURE 4: The waterfall hardware design model, where each previous step is completed
before starting the next one. RTL: register-transfer level.

Sprint 0
Design
Specification
Architectural
Modeling
RTL Design
Verification
and Validation
Physical
Design
Firmware/
Software

Sprint 1

Sprint N

F1

F0

FN

F1

F0

...

F1

F0

FN

F1

F0
F0

F0

FN

FN

F1

F1

...

FN

FN

Time
FIGURE 5: The agile hardware design flow, where features (F) of each design step are
completed iteratively during each project sprint such that a functional GDS is always ready
for tapeout.

52	

FA L L 2 0 2 0	

IEEE SOLID-STATE CIRCUITS MAGAZINE	

but the requirements of e
- merging
applications often outpace ASIC de-
-
sign schedules. Looking more specif-
ically at machine learning, between
2012 and 2018, the compute demand
grew by roughly 300,000 × [8]. This
would indicate that the compute
demand in machine learning doubles
every 3.5 months, much faster than tra-
ditionally allowed for by Moore's law.
In this type of scenario with short-
ened product lifecycles, we need
rapid new spins of silicon to keep
pace with innovations in architec-
tures and algorithms.
Another observation (in this case,
from the EDA community) that moti-
vates the move to more agile prac-
tices is the concept of " shifting left, "
which states that tasks once per-
formed sequentially must now be
done in parallel due to a tightening of
dependencies [9]. Multiple markets,
such as machine learning, are seeing
design timescales squeezed and the
time to market decrease, leading to
the introduction of similar design
philosophies. Due to this, new meth-
odologies and processes are needed
to address emerging application de---
mands on short timescales while
still minimizing the chance of costly
errors and bugs. Agile -development
was proposed in the software com-
munity in 2001 and specifies an itera-
tive refinement of a set of working
design prototypes as opposed to com-
pleting each step in the design pro-
cess sequentially and in full. A benefit
of agile design is that there is always
a viable development point after a
single " sprint " or a repeatable fixed
time window during which a " done "
version of the architecture is created,
as illustrated in Figure 5.
In our inference accelerator agile
hardware methodology, we started
the design process using a trivial pro-
totype with a minimal working feature
set, employing this design to pipeclean the toolflows and scripts used
later in the design process and reduc-
ing the chance of last-minute and
unforeseen tool and scripting errors.
Additionally, energy consumption
and performance were monitored



IEEE Solid-States Circuits Magazine - Fall 2020

Table of Contents for the Digital Edition of IEEE Solid-States Circuits Magazine - Fall 2020

Contents
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover1
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover2
IEEE Solid-States Circuits Magazine - Fall 2020 - Contents
IEEE Solid-States Circuits Magazine - Fall 2020 - 2
IEEE Solid-States Circuits Magazine - Fall 2020 - 3
IEEE Solid-States Circuits Magazine - Fall 2020 - 4
IEEE Solid-States Circuits Magazine - Fall 2020 - 5
IEEE Solid-States Circuits Magazine - Fall 2020 - 6
IEEE Solid-States Circuits Magazine - Fall 2020 - 7
IEEE Solid-States Circuits Magazine - Fall 2020 - 8
IEEE Solid-States Circuits Magazine - Fall 2020 - 9
IEEE Solid-States Circuits Magazine - Fall 2020 - 10
IEEE Solid-States Circuits Magazine - Fall 2020 - 11
IEEE Solid-States Circuits Magazine - Fall 2020 - 12
IEEE Solid-States Circuits Magazine - Fall 2020 - 13
IEEE Solid-States Circuits Magazine - Fall 2020 - 14
IEEE Solid-States Circuits Magazine - Fall 2020 - 15
IEEE Solid-States Circuits Magazine - Fall 2020 - 16
IEEE Solid-States Circuits Magazine - Fall 2020 - 17
IEEE Solid-States Circuits Magazine - Fall 2020 - 18
IEEE Solid-States Circuits Magazine - Fall 2020 - 19
IEEE Solid-States Circuits Magazine - Fall 2020 - 20
IEEE Solid-States Circuits Magazine - Fall 2020 - 21
IEEE Solid-States Circuits Magazine - Fall 2020 - 22
IEEE Solid-States Circuits Magazine - Fall 2020 - 23
IEEE Solid-States Circuits Magazine - Fall 2020 - 24
IEEE Solid-States Circuits Magazine - Fall 2020 - 25
IEEE Solid-States Circuits Magazine - Fall 2020 - 26
IEEE Solid-States Circuits Magazine - Fall 2020 - 27
IEEE Solid-States Circuits Magazine - Fall 2020 - 28
IEEE Solid-States Circuits Magazine - Fall 2020 - 29
IEEE Solid-States Circuits Magazine - Fall 2020 - 30
IEEE Solid-States Circuits Magazine - Fall 2020 - 31
IEEE Solid-States Circuits Magazine - Fall 2020 - 32
IEEE Solid-States Circuits Magazine - Fall 2020 - 33
IEEE Solid-States Circuits Magazine - Fall 2020 - 34
IEEE Solid-States Circuits Magazine - Fall 2020 - 35
IEEE Solid-States Circuits Magazine - Fall 2020 - 36
IEEE Solid-States Circuits Magazine - Fall 2020 - 37
IEEE Solid-States Circuits Magazine - Fall 2020 - 38
IEEE Solid-States Circuits Magazine - Fall 2020 - 39
IEEE Solid-States Circuits Magazine - Fall 2020 - 40
IEEE Solid-States Circuits Magazine - Fall 2020 - 41
IEEE Solid-States Circuits Magazine - Fall 2020 - 42
IEEE Solid-States Circuits Magazine - Fall 2020 - 43
IEEE Solid-States Circuits Magazine - Fall 2020 - 44
IEEE Solid-States Circuits Magazine - Fall 2020 - 45
IEEE Solid-States Circuits Magazine - Fall 2020 - 46
IEEE Solid-States Circuits Magazine - Fall 2020 - 47
IEEE Solid-States Circuits Magazine - Fall 2020 - 48
IEEE Solid-States Circuits Magazine - Fall 2020 - 49
IEEE Solid-States Circuits Magazine - Fall 2020 - 50
IEEE Solid-States Circuits Magazine - Fall 2020 - 51
IEEE Solid-States Circuits Magazine - Fall 2020 - 52
IEEE Solid-States Circuits Magazine - Fall 2020 - 53
IEEE Solid-States Circuits Magazine - Fall 2020 - 54
IEEE Solid-States Circuits Magazine - Fall 2020 - 55
IEEE Solid-States Circuits Magazine - Fall 2020 - 56
IEEE Solid-States Circuits Magazine - Fall 2020 - 57
IEEE Solid-States Circuits Magazine - Fall 2020 - 58
IEEE Solid-States Circuits Magazine - Fall 2020 - 59
IEEE Solid-States Circuits Magazine - Fall 2020 - 60
IEEE Solid-States Circuits Magazine - Fall 2020 - 61
IEEE Solid-States Circuits Magazine - Fall 2020 - 62
IEEE Solid-States Circuits Magazine - Fall 2020 - 63
IEEE Solid-States Circuits Magazine - Fall 2020 - 64
IEEE Solid-States Circuits Magazine - Fall 2020 - 65
IEEE Solid-States Circuits Magazine - Fall 2020 - 66
IEEE Solid-States Circuits Magazine - Fall 2020 - 67
IEEE Solid-States Circuits Magazine - Fall 2020 - 68
IEEE Solid-States Circuits Magazine - Fall 2020 - 69
IEEE Solid-States Circuits Magazine - Fall 2020 - 70
IEEE Solid-States Circuits Magazine - Fall 2020 - 71
IEEE Solid-States Circuits Magazine - Fall 2020 - 72
IEEE Solid-States Circuits Magazine - Fall 2020 - 73
IEEE Solid-States Circuits Magazine - Fall 2020 - 74
IEEE Solid-States Circuits Magazine - Fall 2020 - 75
IEEE Solid-States Circuits Magazine - Fall 2020 - 76
IEEE Solid-States Circuits Magazine - Fall 2020 - 77
IEEE Solid-States Circuits Magazine - Fall 2020 - 78
IEEE Solid-States Circuits Magazine - Fall 2020 - 79
IEEE Solid-States Circuits Magazine - Fall 2020 - 80
IEEE Solid-States Circuits Magazine - Fall 2020 - 81
IEEE Solid-States Circuits Magazine - Fall 2020 - 82
IEEE Solid-States Circuits Magazine - Fall 2020 - 83
IEEE Solid-States Circuits Magazine - Fall 2020 - 84
IEEE Solid-States Circuits Magazine - Fall 2020 - 85
IEEE Solid-States Circuits Magazine - Fall 2020 - 86
IEEE Solid-States Circuits Magazine - Fall 2020 - 87
IEEE Solid-States Circuits Magazine - Fall 2020 - 88
IEEE Solid-States Circuits Magazine - Fall 2020 - 89
IEEE Solid-States Circuits Magazine - Fall 2020 - 90
IEEE Solid-States Circuits Magazine - Fall 2020 - 91
IEEE Solid-States Circuits Magazine - Fall 2020 - 92
IEEE Solid-States Circuits Magazine - Fall 2020 - 93
IEEE Solid-States Circuits Magazine - Fall 2020 - 94
IEEE Solid-States Circuits Magazine - Fall 2020 - 95
IEEE Solid-States Circuits Magazine - Fall 2020 - 96
IEEE Solid-States Circuits Magazine - Fall 2020 - 97
IEEE Solid-States Circuits Magazine - Fall 2020 - 98
IEEE Solid-States Circuits Magazine - Fall 2020 - 99
IEEE Solid-States Circuits Magazine - Fall 2020 - 100
IEEE Solid-States Circuits Magazine - Fall 2020 - 101
IEEE Solid-States Circuits Magazine - Fall 2020 - 102
IEEE Solid-States Circuits Magazine - Fall 2020 - 103
IEEE Solid-States Circuits Magazine - Fall 2020 - 104
IEEE Solid-States Circuits Magazine - Fall 2020 - 105
IEEE Solid-States Circuits Magazine - Fall 2020 - 106
IEEE Solid-States Circuits Magazine - Fall 2020 - 107
IEEE Solid-States Circuits Magazine - Fall 2020 - 108
IEEE Solid-States Circuits Magazine - Fall 2020 - 109
IEEE Solid-States Circuits Magazine - Fall 2020 - 110
IEEE Solid-States Circuits Magazine - Fall 2020 - 111
IEEE Solid-States Circuits Magazine - Fall 2020 - 112
IEEE Solid-States Circuits Magazine - Fall 2020 - 113
IEEE Solid-States Circuits Magazine - Fall 2020 - 114
IEEE Solid-States Circuits Magazine - Fall 2020 - 115
IEEE Solid-States Circuits Magazine - Fall 2020 - 116
IEEE Solid-States Circuits Magazine - Fall 2020 - 117
IEEE Solid-States Circuits Magazine - Fall 2020 - 118
IEEE Solid-States Circuits Magazine - Fall 2020 - 119
IEEE Solid-States Circuits Magazine - Fall 2020 - 120
IEEE Solid-States Circuits Magazine - Fall 2020 - 121
IEEE Solid-States Circuits Magazine - Fall 2020 - 122
IEEE Solid-States Circuits Magazine - Fall 2020 - 123
IEEE Solid-States Circuits Magazine - Fall 2020 - 124
IEEE Solid-States Circuits Magazine - Fall 2020 - 125
IEEE Solid-States Circuits Magazine - Fall 2020 - 126
IEEE Solid-States Circuits Magazine - Fall 2020 - 127
IEEE Solid-States Circuits Magazine - Fall 2020 - 128
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover3
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover4
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019winter
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018fall
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018spring
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018winter
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2014
https://www.nxtbookmedia.com